/************************************************** versys eda example--**** test.cpp ****------------- ----------------Test module of dffr---------------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ #include #include #include "systemc.h" #include "Vdffr.h" int sc_main(int argc, char *argv[]) { int i; sc_clock clock("clock", 16, SC_NS, 0.5, 0, SC_NS, false); sc_signal reset; sc_signal din; sc_signal dout; Vdffr *Vdffr1; Vdffr1 = new Vdffr("Vdffr1"); (*Vdffr1)(clock, reset, din, dout); sc_trace_file *trace_f; trace_f = sc_create_vcd_trace_file("dffr"); ((vcd_trace_file *)trace_f) -> sc_set_vcd_time_unit(-9); sc_trace(trace_f,clock, "clock"); sc_trace(trace_f,reset, "reset"); sc_trace(trace_f,din, "din"); sc_trace(trace_f,dout, "dout"); sc_initialize(); din = false; reset = false; sc_start(10,SC_NS); reset = true; sc_start(30,SC_NS); reset = false; for(i=0; i<20; i++) { sc_start(20, SC_NS); din = ! din; } sc_close_vcd_trace_file(trace_f); return 0; }