/************************************************** versys eda example----**** ram.cpp ****------------ ----------------Module of static ram--------------- Copyright (c) 1996-2009, by all Contributions. All rights reserved. ***************************2009/06/22 lexim,inc.***/ #include "systemc.h" #include "ram.h" void ram::read_data() { if (enable && !readwr) { data = ram_data[addr.read()]; } else { data = "ZZZZZZZZZZZZZZZZ"; } } void ram::write_data() { if (enable && readwr) { ram_data[addr.read()] = data; } }