/************************************************** versys eda example--**** inverter.h ****----------- --------------Header file of inverter-------------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ SC_MODULE(inverter) { sc_in din; sc_out dout; void do_inv(void); SC_CTOR(inverter) { SC_METHOD(do_inv); sensitive << din; } };