/************************************************** versys eda example--**** inverter.cpp ****--------- ----------------Module of inverter----------------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ #include "systemc.h" #include "inverter.h" void inverter::do_inv(void) { dout = ! din; }