/************************************************** versys eda example--**** dffr.cpp ****------------- -----Module of DFF with synchronized reset--------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ #include "systemc.h" #include "dffr.h" void dffr::do_ffr() { if (clock.event()) { if (reset) { dout = false; } else dout = din; } }